片上网络的访存延迟均衡性
李洋1,2, 陈小文3, 赵晓晖1, 杨勇2

Latency equalization of memory access in network-chips
LI Yang1,2, CHEN Xiao-wen3, ZHAO Xiao-hui1, YANG Yong2
具有访存延迟均衡的路由器结构