吉林大学学报(工学版) ›› 2015, Vol. 45 ›› Issue (5): 1624-1630.doi: 10.13229/j.cnki.jdxbgxb201505035

• • 上一篇    下一篇

片上网络的访存延迟均衡性

李洋1, 2, 陈小文3, 赵晓晖1, 杨勇2   

  1. 1.吉林大学 通信工程学院,长春130022;
    2.长春理工大学 电子信息工程学院,长春130022;
    3.国防科学技术大学 计算机学院,长沙 410073
  • 收稿日期:2015-02-14 出版日期:2015-09-01 发布日期:2015-09-01
  • 通讯作者: 赵晓晖(1957-),男,教授,博士生导师.研究方向:信号处理理论在通信中的应用.E-mail:xhzhao@jlu.edu.cn
  • 作者简介:李洋(1978-),女,博士研究生.研究方向:无线网络通信理论和技术.E-mail:lyang@cust.edu.cn
  • 基金资助:
    国家自然科学基金项目(61171079); 湖南省自然科学基金项目(2015JJ3017); 高等学校博士学科点专项科研基金项目(20134307120034)

Latency equalization of memory access in network-chips

LI Yang1, 2, CHEN Xiao-wen3, ZHAO Xiao-hui1, YANG Yong2   

  1. 1.College of Communication Engineering, Jilin University, Changchun 130022,China;
    2.School of Electronics and Information Engineering, Changchun University of Science and Technology, Changchun 130022, China;
    3.College of Computer, National University of Defense Technology, Changsha 410073, China
  • Received:2015-02-14 Online:2015-09-01 Published:2015-09-01

摘要: 对片上网络访存延迟均衡性展开了研究,提出基于总延迟预测的访存报文仲裁技术。首先,依据访存报文后续路径的拥塞信息预测访存报文未来等待延迟,并计算出总延迟。其次,基于预测的总延迟对竞争同一链路的访存报文进行仲裁。在Mesh片上网络路由器中,对该技术进行了设计和实现。实验结果表明:在不同的网络规模和报文注入率下,与经典Round-Robin仲裁机制相比,本文技术能够极大减少片上访存的最大延迟和延迟标准差,减少平均延迟,证明能够获得更佳的访存延迟均衡性。

关键词: 通信技术, 片上网络, 访存延迟, 众核架构, 仲裁技术, 均衡性

Abstract: A novel arbitration technique for memory access packets is proposed, which is based on the round-trip latency prediction. First, the congestion information in the subsequent path of memory access packets is used to predict the waiting latencies of the memory access packets in the future, and then the round-trip latencies are calculated. Second, the predicted round-trip latencies are used to decide the arbitration for the memory access packets contending for the same link. The proposed technique is designed and implemented in the routers of mesh-based NoCs. Experimental results show that, under different network sizes and packet injection rates, compared with the classic Round-Robin arbitration mechanism, the proposed technique can greatly reduce the maximum latency, the average latency and the latency standard deviation of on-chip memory accesses, and it is proved to achieve better latency equalization of memory access.

Key words: communication technology, network-on-chip (NOC), memory access latency, many-core architectures, arbitration technique, equalization

中图分类号: 

  • TN91
[1] Horowitz M, Dally W. How scaling will change processor architecture[C]∥International Solid-State Circuits Conference (ISSCC'04), San Francisco, US, Digest of Technical Papers, 2004:132-133.
[2] Borkar S. Thousand core chips: a technology perspective[C]∥Proceedings of the 44th Design Automation Conference (DAC'07), San Diego, US, 2007:746-749.
[3] Owens J D, Dally W J. Research challenges for on-chip interconnection networks[J]. IEEE Micro, 2007, 27(5):96-108.
[4] Marinissen E, Prince B, Keltel-Schulz D, et al. Challenges in embedded memory design and test[C]∥Proceedings of Design, Automation and Test in Europe Conference (DATE'05), Munich, Germany, 2005:722-727.
[5] Genius D. Measuring memory access latency for software objects in a NUMA system-on-chip architecture[C]∥Proceedings of the 8th International Workshop on Reconfigurable and Communication-Centric Systems-on-Chip (ReCo -SoC), Darmstadt, Germany, 2013: 1-8.
[6] Majo Z, Gross T R. Memory system performance in a NUMA multicore multi-processor[C]∥Proceedings of the 4th Annual International Conference on Systems and Storage, Haifa, Israel, 2011: 1-10.
[7] Mutlu O, Moscibroda T. Stall-time fair memory access scheduling for chip multiprocessor[C]∥Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Chicago, US, 2007: 146-160.
[8] Daneshtalab M, Ebrahimi M, Plosila J, et al. CARS: congestion-aware request scheduler for network interfaces in NoC-based manycore systems[C]∥Proceedings of Design, Automation and Test in Europe Conference (DATE'13), Grenoble, France, 2013:1048-1051.
[9] Kim D, Yoo S, Lee S. A network congestion-aware memory controller[C]∥Proceedings of the 4th ACM/IEEE International Symposium on Networks-on-Chip, Grenoble, France, 2010:257-264.
[10] Zhang G, Wang H, Chen X, et al. Fair memory access scheduling for quality of service guarantees via service curves[C]∥Proceedings of the 10th IEEE International Symposium on Parallel and Distributed Processing with Applications, Madrid, Spain, 2012: 174-181.
[11] Jang W, Pan D. An SDRAM-aware router for networks-on-chip[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2010, 29(10): 1572-1585.
[12] Pimpalkhute T, Pasricha S. Noc scheduling for improved application-aware and memory-aware transfers in multi-core systems[C]∥Proccedings of the 27th International Conference on VLSI Design and the 13th International Conference on Embedded Systems, Mubai ,India,2014:234-239.
[13] 刘胜, 陈书明, 尹亚明, 等. 片上网络延时差异对存储系统公平性的影响及对策[J]. 计算机学报,2011,34(8):1500-1508. Liu Sheng,Chen Shu-ming,Yin Ya-ming,et al.The effect of NoC latency difference on the fairness of memory systems and a strategy[J].Chinese Journal of Computers,2011,34(8):1500-1508.
[14] Sharifi A, Kultursay E, Kandemir M, et al. Addressing end-to-end memory access latency in noc-based multicores[C]∥Proccedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'12), Vancouver, BC, Canada, 2012:294-304.
[15] Dally W J. Virtual-channel flow control[J]. IEEE Transactions on Parallel and Distributed Systems, 1992, 3(2):194-205.
[16] Kumar A, Peh L, Kundu P, et al. Express virtual channels: towards the ideal interconnection fabric[C]∥Proceedings of the 34th Annual International Symposium on Computer Architecture (ISCA'07), San, Diego, US, 2007:150-161.
[1] 周彦果,张海林,陈瑞瑞,周韬. 协作网络中采用双层博弈的资源分配方案[J]. 吉林大学学报(工学版), 2018, 48(6): 1879-1886.
[2] 孙晓颖, 扈泽正, 杨锦鹏. 基于分层贝叶斯网络的车辆发动机系统电磁脉冲敏感度评估[J]. 吉林大学学报(工学版), 2018, 48(4): 1254-1264.
[3] 董颖, 崔梦瑶, 吴昊, 王雨后. 基于能量预测的分簇可充电无线传感器网络充电调度[J]. 吉林大学学报(工学版), 2018, 48(4): 1265-1273.
[4] 牟宗磊, 宋萍, 翟亚宇, 陈晓笑. 分布式测试系统同步触发脉冲传输时延的高精度测量方法[J]. 吉林大学学报(工学版), 2018, 48(4): 1274-1281.
[5] 丁宁, 常玉春, 赵健博, 王超, 杨小天. 基于USB 3.0的高速CMOS图像传感器数据采集系统[J]. 吉林大学学报(工学版), 2018, 48(4): 1298-1304.
[6] 陈瑞瑞, 张海林. 三维毫米波通信系统的性能分析[J]. 吉林大学学报(工学版), 2018, 48(2): 605-609.
[7] 张超逸, 李金海, 阎跃鹏. 双门限唐检测改进算法[J]. 吉林大学学报(工学版), 2018, 48(2): 610-617.
[8] 关济实, 石要武, 邱建文, 单泽彪, 史红伟. α稳定分布特征指数估计算法[J]. 吉林大学学报(工学版), 2018, 48(2): 618-624.
[9] 李炜, 李亚洁. 基于离散事件触发通信机制的非均匀传输网络化控制系统故障调节与通信满意协同设计[J]. 吉林大学学报(工学版), 2018, 48(1): 245-258.
[10] 孙晓颖, 王震, 杨锦鹏, 扈泽正, 陈建. 基于贝叶斯网络的电子节气门电磁敏感度评估[J]. 吉林大学学报(工学版), 2018, 48(1): 281-289.
[11] 武伟, 王世刚, 赵岩, 韦健, 钟诚. 蜂窝式立体元图像阵列的生成[J]. 吉林大学学报(工学版), 2018, 48(1): 290-294.
[12] 袁建国, 张锡若, 邱飘玉, 王永, 庞宇, 林金朝. OFDM系统中利用循环前缀的非迭代相位噪声抑制算法[J]. 吉林大学学报(工学版), 2018, 48(1): 295-300.
[13] 王金鹏, 曹帆, 贺晓阳, 邹念育. 基于多址干扰和蜂窝间互扰分布的多载波系统联合接收方法[J]. 吉林大学学报(工学版), 2018, 48(1): 301-305.
[14] 石文孝, 孙浩然, 王少博. 无线Mesh网络信道分配与路由度量联合优化算法[J]. 吉林大学学报(工学版), 2017, 47(6): 1918-1925.
[15] 姜来为, 沙学军, 吴宣利, 张乃通. LTE-A异构网络中新的用户选择接入和资源分配联合方法[J]. 吉林大学学报(工学版), 2017, 47(6): 1926-1932.
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!