吉林大学学报(工学版) ›› 2017, Vol. 47 ›› Issue (3): 988-995.doi: 10.13229/j.cnki.jdxbgxb201703041

Previous Articles     Next Articles

Torus-based shoot-through framework architecture for network-on-chip

SHU Hao1, SHI Jiang-yi1, MA Pei-jun1, PAN Wei-tao2, YANG Lin-an1   

  1. 1.School of Microelectronics, Xidian University, Xi'an 710071,China;
    2.State Key Laboratory of ISN, Xidian University, Xi'an 710071,China
  • Received:2016-01-08 Online:2017-05-20 Published:2017-05-20

Abstract: To achieve high-efficient data transmission, an efficient shoot-through framework architecture for network-on-chip is built, which makes trade-off between the centralized bus-based interconnection and the distributed NoC-based interconnection. Then, a torus-based hybrid network topology and shoot-through routing algorithm are proposed. The proposed framework breaks the inherent feature of conventional routing schemes that could remarkably reduce the length of routing path so as to improve the routing efficiency. Experimental results show that, compared with typical XY and congestion-aware adaptive routings, shoot-through framework with 10% more area overheads can improve the network saturation throughput by 84% and reduce the power consumption by 27.3% in average.

Key words: communication, network-on-chip, framework architecture, shoot-through, hybrid network topology

CLC Number: 

  • TN47
[1] Howard J,Dighe S,Vangal S,et al.A 48-core IA-32 processor in 45 nm CMOS using on-die message-passing and DVFS for performance and power scaling[J].IEEE Journal of Solid-State Circuits,2011,46(1):173-183.
[2] Vangal S,Howard J,Ruhl G,et al.An 80-Tile 1.28TFLOPS network-on-chip in 65 nm CMOS[C]∥54th IEEE International Solid-State Circuits Conference,San Francisco,USA,2007:95-98.
[3] 臧明相,王勐,周文宏,等.改进混合蛙跳优化的片上网络低功耗映射算法[J].西安电子科技大学学报,2015,42(1):118-123.
Zang Ming-xiang,Wang Meng,Zhou Wen-hong,et al.Improved shuffled frog-leaping algorithm for low-power network-on-chip mapping[J].Journal of Xidian University,2015,42(1):118-123.
[4] 杨俊波,杨建坤,李修建,等. 全交叉光互连网络的路由选择与控制[J]. 光学精密工程,2010,18(6):1249-1257.
Yang Jun-bo, Yang Jian-kun, Li Xiu-jian, et al. Choice and control of routes in crossover optical interconnection network[J]. Optics and Precision Engineering,2010,18(6):1249-1257.
[5] 鲁琴,罗武胜,胡冰. 无线传感网基于邻居簇的JPEG2000多点协同实现[J]. 光学精密工程,2010,18(1):240-247.
Lu Qin,Luo Wu-sheng,Hu bing. Multi-node cooperative JPEG2000 implementation based on neighbor clusters in wireless sensor networks[J]. Optics and Precision Engineering, 2010,18(1):240-247.
[6] 马奎,黄河清,沈杰,等. 基于混合汇聚节点的无线传感器网络数据收集方法[J]. 光学精密工程,2008,16(9):1752-1758.
Ma Kui,Huang He-qing,Shen Jie,et al. A data collection method with hybrid sinks in wireless sensor networks[J]. Optics and Precision Engineering,2008,16(9):1752-1758.
[7] Samman F,Hollstein T,Glesner M.Runtime contention and bandwidth-aware adaptive routing selection strategies for networks-on-chip[J].IEEE Transactions on Parallel and Distributed Systems,2013,24(7):1411-1421.
[8] Dally W J,Towles B.Route packets,not wires:on-chip interconnection networks[C]∥38th Design Automation Conference,Las Vegas,USA,2001:681-689.
[9] Tran A T,Baas B M.Achieving high-performance on-chip networks with shared-buffer routers[J].IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2014,22(6):1391-1403.
[10] Hsin H,Chang E,Wu A.Spatial-temporal enhancement of ACO-based selection schemes for adaptive routing in network-on-chip systems[J].IEEE Transactions on Parallel and Distributed Systems,2014,25(6):1626-1637.
[11] Ebrahimi M,Tenhunen H,Dehyadegari M.Fuzzy-based adaptive routing algorithm for networks-on-chip[J].Journal of Systems Architecture,2013,59(7):516-527.
[12] Lin Jing,Lin Xiao-la,Tang Liang. Making-a-stop: a new bufferless routing algorithm for on-chip network[J].Journal of Parallel and Distributed Computing,2012,72(4):515-524.
[13] Gratz P,Grot B,Keckler S W.Regional congestion awareness for load balance in networks-on-chip[C]∥14th International Symposium on High Performance Computer Architecture,Salt Lake City,USA,2008:203-214.
[14] Ogras U Y,Marculescu R.It's a small world after all:NoC performance optimization via long-range link insertion[J].IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2006,14(7):693-706.
[15] Kim J,Balfour J,Dally W J.Flattened butterfly topology for on-chip networks[J]. IEEE Computer Architecture Letters,2007,6(2):172-182.
[16] Kumar A,Peh L,Kundu P,et al.Express virtual channels: towards the ideal interconnection fabric[C]∥34th Annual International Symposium on Computer Architecture,San Diego,USA,2007:150-161.
[17] Onizawa N, Matsumoto A, Hanyu T. Long-range asynchronous on-chip link based on multiple-valued single-track signaling[J].IEICE Transaction on Fundamentals of Electronics Communications and Computer Sciences,2012(2):1018-1029.
[1] CHEN Yong-heng,LIU Fang-hong,CAO Ning-bo. Analysis of conflict factors between pedestrians and channelized right turn vehicles at signalized intersections [J]. Journal of Jilin University(Engineering and Technology Edition), 2018, 48(6): 1669-1676.
[2] CHANG Shan,SONG Rui,HE Shi-wei,LI Hao-dong,YIN Wei-chuan. Recycling model of faulty bike sharing [J]. Journal of Jilin University(Engineering and Technology Edition), 2018, 48(6): 1677-1684.
[3] QU Da-yi,YANG Jing-ru,BING Qi-chun,WANG Wu-lin,ZHOU Jing-chun. Arterial traffic offset optimization based on queue characteristics at adjacent intersections [J]. Journal of Jilin University(Engineering and Technology Edition), 2018, 48(6): 1685-1693.
[4] ZHOU Yan-guo,ZHANG Hai-lin,CHEN Rui-rui,ZHOU Tao. Two-level game approach based resource allocation scheme in cooperative networks [J]. Journal of Jilin University(Engineering and Technology Edition), 2018, 48(6): 1879-1886.
[5] LIU Xiang-yu, YANG Qing-fang, KUI Hai-lin. Traffic guidance cell division based on random walk algorithm [J]. Journal of Jilin University(Engineering and Technology Edition), 2018, 48(5): 1380-1386.
[6] LIU Zhao-hui, WANG Chao, LYU Wen-hong, GUAN Xin. Identification of data characteristics of vehicle running status parameters by nonlinear dynamic analysis [J]. Journal of Jilin University(Engineering and Technology Edition), 2018, 48(5): 1405-1410.
[7] LUAN Xin, DENG Wei, CHENG Lin, CHEN Xin-yuan. Mixed Logit model for understanding travel mode choice behavior of megalopolitan residents [J]. 吉林大学学报(工学版), 2018, 48(4): 1029-1036.
[8] SUN Xiao-ying, HU Ze-zheng, YANG Jin-peng. Assessment method of electromagnetic pulse sensitivity of vehicle engine system based on hierarchical Bayesian networks [J]. 吉林大学学报(工学版), 2018, 48(4): 1254-1264.
[9] DONG Ying, CUI Meng-yao, WU Hao, WANG Yu-hou. Clustering wireless rechargeable sensor networks charging schedule based on energy prediction [J]. 吉林大学学报(工学版), 2018, 48(4): 1265-1273.
[10] MOU Zong-lei, SONG Ping, ZHAI Ya-yu, CHEN Xiao-xiao. High accuracy measurement method for synchronous triggering pulse transmission delay in distributed test system [J]. 吉林大学学报(工学版), 2018, 48(4): 1274-1281.
[11] DING Ning, CHANG Yu-chun, ZHAO Jian-bo, WANG Chao, YANG Xiao-tian. High-speed CMOS image sensor data acquisition system based on USB 3.0 [J]. 吉林大学学报(工学版), 2018, 48(4): 1298-1304.
[12] CHEN Yong-heng, LIU Xin-shan, XIONG Shuai, WANG Kun-wei, SHEN Yao, YANG Shao-hui. Variable speed limit control under snow and ice conditions for urban expressway in junction bottleneck area [J]. 吉林大学学报(工学版), 2018, 48(3): 677-687.
[13] WANG Zhan-zhong, LU Yue, LIU Xiao-feng, ZHAO Li-ying. Improved harmony search algorithm on truck scheduling for cross docking system [J]. 吉林大学学报(工学版), 2018, 48(3): 688-693.
[14] LI Zhi-hui, HU Yong-li, ZHAO Yong-hua, MA Jia-lei, LI Hai-tao, ZHONG Tao, YANG Shao-hui. Locating moving pedestrian from running vehicle [J]. 吉林大学学报(工学版), 2018, 48(3): 694-703.
[15] CHEN Song, LI Xian-sheng, REN Yuan-yuan. Adaptive signal control method for intersection with hook-turn buses [J]. 吉林大学学报(工学版), 2018, 48(2): 423-429.
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!